【新闻】EUV技术让DRAM 再无瑕疵?

发布时间 : 2019-06-24  浏览次数 :

【新闻】EUV技术让DRAM 再无瑕疵?(图1)

根据国外媒体报导,曾表示目前制程技术还用不上EUV技术的各大DRAM厂在目前DRAM价格直落、短期看不到止跌讯号的情况下,也顶不住生产成本的压力,开始考量导入EUV技术,以降低生产成本……


DRAM提前步入EUV时代

EUV(极紫外光),是基于DUV(深紫外光)升级的最新一代光刻机设备。随着半导体制程微缩走向极限,EUV光刻机设备成为焦点。EUV的作用在于,可继续压榨晶体管的密度,降低光罩用量,提升良率并降低芯片制造成本。

由于DRAM制程向1z纳米或1α纳米制程推进的难度越来越高(三星18 nm工艺技术被传出因良率过低导致的瑕疵品引发客户赔偿的消息),目前采用EUV设备提升量产能力,降低DRAM的生产成本,成为最为紧迫的办法。

目前全球三大DRAM芯片巨头——三星、美光和海力士都将工艺制程开进10nm级别,此10nm级别并非就是10nm,它又分为三个等级,其中1xnm对应16nm-19nm制程,1ynm对应14nm-16nm制程,1znm对应12nm-14nm制程,在这之后还会有1α及1β纳米制程节点。制程的微缩逐渐成为DRAM原厂的发展瓶颈。

一年前,美光不认为EUV 光刻机在DRAM芯片的制造环节上是必须的,甚至未来发展到1znm以下的1α和1β工艺技术,可能都不需要EUV光刻机。加上EUV价格昂贵(1亿美金以上),及去年DRAM价格正处于高涨的甜蜜期,美光做此判断乃情理之中。但今年迫于市场压力不得不改变此策略。

据多家调研机构的数据显示,2019年上半年,受市场供需影响,消费类NAND Flash闪存价格下滑了30%,DRAM内存价格也是一路下跌,虽然原厂计划减产救市,但短期仍不见止跌信号。要降低成本,一是微缩制程,二是启用EUV设备。显然,启用EUV设备将更为直接有效。

据韩媒报道,三星将在2019年11月开始量产采用EUV 技术的1z 纳米DRAM。量产初期将在华城17产线,不过由于与晶圆代工事业部共享EUV设备,所以初期使用量不大,之后平泽工厂也会启动EUV DRAM量产;美光计划2019年底在日本广岛B2新工厂量产1znm LPDDR4;SK海力士也有意以EUV制程生产DRAM。可以判断,在1α纳米或1β纳米世代,EUV将开始全面导入。

EVU时代,ASML获利最大

在DUV(深紫外光)时代,光刻机市场主要被荷兰ASML、日本索尼和尼康三巨头占据,但到了EUV时代,变成只有ASML一家供应商。目前,ASML已占据整个光刻机市场70%的份额。

今年4月,ASML发布首季财报,第一季净收入3.55亿欧元,毛利率41.6%。业绩成长迅速。

竞争格局分水岭出现在193nm光刻技术成为市场主流之后,ASML逐渐后来居上。加上英特尔、台积电和三星入股ASML更加夯实了其龙头地位。

2012年英特尔以25.38亿欧元购买了ASML 15%的股权,台积电以8.38亿欧元购买了ASML 5%的股权,三星以5.03亿欧元购买了ASML 3%的股权。除此,三巨头还给出高额资金助力技术研发。

有了三大巨头的助力,ASML在2012年推出了试验型EUV光刻设备NXE 3100,后续又推出了量产型NEX 3300B,2014年推出了NXE 3350B,目前,已经推出新一代量产型的NXE 3400B和NXE 3400C EUV设备。

在7nm工艺量产关键节点,ASML的NXE 3400B EUV光刻机成为了台积电、三星实现量产计划的关键。ASML曾表示,2017年下半到2018年初,EUV光刻机每小时晶圆吞吐量约125片,而ASML新一代EUV光刻机(NXE 3400C),芯片吞吐量可达到每小时170片水准,这将使产能增加36%。

更有消息显示,ASML计划在2019年出货30台EUV光刻机,同时正准备将NXE 3400C EUV光刻机推广到DRAM产业,而这恰恰与DRAM原厂导入EUV的想法不谋而合。

工艺制程微缩的挑战尚存,DRAM在1znm出现的良率瑕疵问题,EUV能否帮助全部解决?我们拭目以待。

【新闻】EUV技术让DRAM 再无瑕疵?(图2)